Skip to main content
Version: v0.19.0-alpha 🚧

Severity

Log severity

NameSummary
DebugVerbose log messages that can be used for debugging SCL.
InformationLog messages that track the general flow of a sequence.
WarningLog messages for non-terminating errors or unexpected events.
ErrorLog messages that indicate sequence failure.